Advanced VLSI Design & Verification

Advanced VLSI Design & Verification Training Provided by Maven Silicon Softech Pvt Ltd Training Institute in Bangalore

Beginner 0(0 Ratings) 0 Students enrolled
Created by Maven Silicon Softech Pvt Ltd Training Institute staff Last updated Sat, 19-Mar-2022 English


Advanced VLSI Design & Verification free videos and free material uploaded by Maven Silicon Softech Pvt Ltd Training Institute staff .

Syllabus / What will i learn?

MODULE 1

Introduction to VLSI

VLSI Design Flow

ASIC Vs FPGA

RTL Design Methodologies

Introduction to ASIC Verification Methodologies

VLSI Design Flow Steps - Demo

MODULE 2

Introduction to Linux

Components of UNIX system

Directory Structure

Utilities and Commands

Vi Editor

MODULE 3

Advanced Digital Design

Introduction to Digital Electronics

Arithmetic Circuits

Data processing Circuits

Universal Logic Elements

Combinational Circuits - Design and Analysis

Latches and Flip flops

Shift Registers and Counters

Sequential Circuits - Design and Analysis

Memories and PLD

Finite State Machine

Microcontroller Design

MODULE 4

Static Timing Analysis

Introduction to STA

Comparison with DTA

Timing Path and Constraints

Different types of clocks

Clock domain and Variations

Clock Distribution Networks

How to fix timing failure

MODULE 5

CMOS Fundamentals

Non Ideal characteristics

BJT vs FET

CMOS Characteristics

CMOS circuit design

Transistor sizing

Layout and Stick Diagrams

CMOS Processing Steps

Fabrication

CMOS Technology - Current Trends

MODULE 6

Verilog HDL - RTL Coding and Synthesis

[1] Introduction to Verlog HDL

Applications of Verilog HDL

Verilog HDL language concept

Verilog language basics and constructs

Abstraction lev

 



Curriculum for this course
0 Lessons 00:00:00 Hours
+ View more
Description

The VLSI-RN course is an exclusively designed course by industry experts to train you on the advanced Design and Verification technologies and methodologies i.e. RTL Design, ASIC & FPGA design methodologies, FPGA Architecture, Advanced Verilog for Verification, ASIC Verification Methodologies, SystemVerilog, UVM, Assertion Based Verification - SVA, Verification Planning and Management, Code and Functional Coverage, Perl scripting language and VIP coding style. One can easily enter into the VLSI industry with the skill sets that are gained through this training course.

You need online training / explanation for this course?

1 to 1 Online Training contact instructor for demo :


+ View more

Other related courses
Updated Wed, 22-Apr-2020
Updated Wed, 24-Feb-2021
Updated Wed, 22-Apr-2020
Updated Thu, 30-Apr-2020
About the instructor
  • 0 Reviews
  • 1 Students
  • 30 Courses
Student feedback
0
Average rating
  • 0%
  • 0%
  • 0%
  • 0%
  • 0%
Reviews

Material price :

₹ 0
Buy now

1:1 Online Training Fee: 10000 /-
Contact instructor for demo :